In this paper, we present an ultra-low-power smart visual sensor architecture. A 10.6-µW low-resolution contrast-based imager featuring internal analog pre-processing is coupled with an energy-efficient quad-core cluster processor that exploits near-threshold computing within a few milliwatt power envelope. We demonstrate the capability of the smart camera on a moving object detection framework. The computational load is distributed among mixed-signal pixel and digital parallel processing. Such local processing reduces the amount of digital data to be sent out of the node by 91%. Exploiting context aware analog circuits, the imager only dispatches meaningful post-processed data to the processing unit, lowering the sensor-to-processor bandwidth by 31× with respect to transmitting a full pixel frame. To extract high-level features, an event-driven approach is applied to the sensor data and optimized for parallel run-time execution. A 57.7× system energy saving is reached through the event-driven approach with respect to frame-based processing, on a low-power MCU node. The near-threshold parallel processor further reduces the processing energy cost by 6.64×, achieving an overall system energy cost of 1.79 µJ per frame, which results to be 21.8× and up to 383× lower than, respectively, an event- based imaging system based on an asynchronous visual sensor and a traditional frame-based smart visual sensor.

An Event-Driven Ultra-Low-Power Smart Visual Sensor

Rusci, Manuele;Lecca, Michela;Gottardi, Massimo;Farella, Elisabetta;
2016-01-01

Abstract

In this paper, we present an ultra-low-power smart visual sensor architecture. A 10.6-µW low-resolution contrast-based imager featuring internal analog pre-processing is coupled with an energy-efficient quad-core cluster processor that exploits near-threshold computing within a few milliwatt power envelope. We demonstrate the capability of the smart camera on a moving object detection framework. The computational load is distributed among mixed-signal pixel and digital parallel processing. Such local processing reduces the amount of digital data to be sent out of the node by 91%. Exploiting context aware analog circuits, the imager only dispatches meaningful post-processed data to the processing unit, lowering the sensor-to-processor bandwidth by 31× with respect to transmitting a full pixel frame. To extract high-level features, an event-driven approach is applied to the sensor data and optimized for parallel run-time execution. A 57.7× system energy saving is reached through the event-driven approach with respect to frame-based processing, on a low-power MCU node. The near-threshold parallel processor further reduces the processing energy cost by 6.64×, achieving an overall system energy cost of 1.79 µJ per frame, which results to be 21.8× and up to 383× lower than, respectively, an event- based imaging system based on an asynchronous visual sensor and a traditional frame-based smart visual sensor.
File in questo prodotto:
Non ci sono file associati a questo prodotto.

I documenti in IRIS sono protetti da copyright e tutti i diritti sono riservati, salvo diversa indicazione.

Utilizza questo identificativo per citare o creare un link a questo documento: https://hdl.handle.net/11582/304553
Citazioni
  • ???jsp.display-item.citation.pmc??? ND
social impact