Verification is an essential step of the hardware design lifecycle. Usually verification is done at the gate level (Boolean level). We present verilog2smv, a tool that generates word-level model checking problems from Verilog designs augmented with assertions. A key aspect of our tool is that memories in the designs are treated without any form of abstraction. verilog2smv can be used for RTL verification by chaining with a word-level model checker like NUXMV. To this extent, we present also some experimental results over Verilog verification benchmarks, using verilog2smv+NUXMV as a tool-chain.

Verilog2SMV: A Tool for Word-level Verification

Irfan, Ahmed;Cimatti, Alessandro;Griggio, Alberto;Roveri, Marco;
2016-01-01

Abstract

Verification is an essential step of the hardware design lifecycle. Usually verification is done at the gate level (Boolean level). We present verilog2smv, a tool that generates word-level model checking problems from Verilog designs augmented with assertions. A key aspect of our tool is that memories in the designs are treated without any form of abstraction. verilog2smv can be used for RTL verification by chaining with a word-level model checker like NUXMV. To this extent, we present also some experimental results over Verilog verification benchmarks, using verilog2smv+NUXMV as a tool-chain.
2016
978-3-9815370-7-9
File in questo prodotto:
File Dimensione Formato  
verilog2smv-proceeding-version.pdf

solo utenti autorizzati

Tipologia: Documento in Post-print
Licenza: PUBBLICO - Pubblico con Copyright
Dimensione 230.51 kB
Formato Adobe PDF
230.51 kB Adobe PDF   Visualizza/Apri   Richiedi una copia

I documenti in IRIS sono protetti da copyright e tutti i diritti sono riservati, salvo diversa indicazione.

Utilizza questo identificativo per citare o creare un link a questo documento: https://hdl.handle.net/11582/306065
Citazioni
  • ???jsp.display-item.citation.pmc??? ND
social impact